电路设计平台

首页 产品与技术 泛模拟设计类EDA

NanoDesigner iV

交互式物理验证系统

交互式DRC验证 交互式LVS验证 友好图形界面

NanoDesigner iV是一款专为模拟电路设计开发的高速交互式物理验证工具。

  • 无缝集成于NanoDesigner客制化全流程电路设计平台

  • 独特的平面化处理引擎和专有规则编辑GUI,大大提升工具易用性

  • 直观简洁的debug方式方便用户快速定位与排错

  • 支持第三方signoff物理验证工具





下载产品单页

产品亮点

  • 全面

    全面的DRC交互验证功能
    空间、宽度、ENC、INC、重叠等

  • 易用

    专用GUI方便定义规则
    支持第三方物理验证工具rule

  • 实时

    在版图设计期间
    完成实时DRC验证

  • 高速

    支持高速批量数据处理

产品应用

  • 模拟/混合信号电路
    交互式物理验证

  • 射频电路
    交互式物理验证

  • 存储器电路
    交互式物理验证

  • 平板显示电路
    交互式物理验证

产品视频

联系我们 TOP

登录

忘记密码
暂无概伦账号?立即注册

获取验证码

 
暂无概伦账号?立即注册